Modelsim XE, what's the latest version?

F

Frank

Guest
I had 2003 version on my PC, but it's giving me performance hassles
on yesterday's simulations. What is the latest version of Modelsim for
Xilinx? How is the performance?

I am writing a 20K+ design for opencores, what free simulator can I use?

Thanks for your info.

Frank
 
"Frank" <Francis.invalid@hotmail.com> wrote in message
news:432e70c9$1@news.starhub.net.sg...
I had 2003 version on my PC, but it's giving me performance hassles
on yesterday's simulations. What is the latest version of Modelsim for
Xilinx? How is the performance?

I am writing a 20K+ design for opencores, what free simulator can I use?

Thanks for your info.

Frank
BTW, I am dumb, so I need GUI to view waveforms during debugging.
 
Forget Modelsim for Xilinx as its knobbled for large designs.. they want you
to buy the full version

Simon

"Frank" <Francis.invalid@hotmail.com> wrote in message
news:432e714e@news.starhub.net.sg...
"Frank" <Francis.invalid@hotmail.com> wrote in message
news:432e70c9$1@news.starhub.net.sg...
I had 2003 version on my PC, but it's giving me performance hassles
on yesterday's simulations. What is the latest version of Modelsim for
Xilinx? How is the performance?

I am writing a 20K+ design for opencores, what free simulator can I use?

Thanks for your info.

Frank




BTW, I am dumb, so I need GUI to view waveforms during debugging.
 
I use Modelsim MXE Starter. The full version is expensive! What would
you suggest to use instead, Simon?

I use Modelsim at work so I'm familiar with it, and my own personal
designs aren't very large, so I haven't ran into that "speed-limiter"
the free version has. Eventually, I suspect I will, and I'm not
looking forward to it.

Simon Peacock wrote:
Forget Modelsim for Xilinx as its knobbled for large designs.. they want you
to buy the full version

Simon

"Frank" <Francis.invalid@hotmail.com> wrote in message
news:432e714e@news.starhub.net.sg...

"Frank" <Francis.invalid@hotmail.com> wrote in message
news:432e70c9$1@news.starhub.net.sg...
I had 2003 version on my PC, but it's giving me performance hassles
on yesterday's simulations. What is the latest version of Modelsim for
Xilinx? How is the performance?

I am writing a 20K+ design for opencores, what free simulator can I use?

Thanks for your info.

Frank




BTW, I am dumb, so I need GUI to view waveforms during debugging.
 
Thank you Simon. I am doing my opencores design on my home PC
as a passtime, so I intend to spend no extra $ only time on it. How does
Icarus & IVI combination perform? My design is 10K and vcd dump
is as large as 50MB.




"Simon Peacock" <simon$actrix.co.nz> wrote in message
news:432e8c2a$1@news2.actrix.gen.nz...
Forget Modelsim for Xilinx as its knobbled for large designs.. they want
you
to buy the full version

Simon

"Frank" <Francis.invalid@hotmail.com> wrote in message
news:432e714e@news.starhub.net.sg...

"Frank" <Francis.invalid@hotmail.com> wrote in message
news:432e70c9$1@news.starhub.net.sg...
I had 2003 version on my PC, but it's giving me performance hassles
on yesterday's simulations. What is the latest version of Modelsim for
Xilinx? How is the performance?

I am writing a 20K+ design for opencores, what free simulator can I
use?

Thanks for your info.

Frank




BTW, I am dumb, so I need GUI to view waveforms during debugging.
 
Thank you Simon. I am doing my opencores design on my home PC
as a passtime, so I intend to spend no extra $ only time on it. How does
Icarus & IVI combination perform? My design is 10K and vcd dump
is as large as 50MB.




"Simon Peacock" <simon$actrix.co.nz> wrote in message
news:432e8c2a$1@news2.actrix.gen.nz...
Forget Modelsim for Xilinx as its knobbled for large designs.. they want
you
to buy the full version

Simon

"Frank" <Francis.invalid@hotmail.com> wrote in message
news:432e714e@news.starhub.net.sg...

"Frank" <Francis.invalid@hotmail.com> wrote in message
news:432e70c9$1@news.starhub.net.sg...
I had 2003 version on my PC, but it's giving me performance hassles
on yesterday's simulations. What is the latest version of Modelsim for
Xilinx? How is the performance?

I am writing a 20K+ design for opencores, what free simulator can I
use?

Thanks for your info.

Frank




BTW, I am dumb, so I need GUI to view waveforms during debugging.
 
Thank you Simon. I am doing my opencores design on my home PC
as a passtime, so I intend to spend no extra $ only time on it. How does
Icarus & IVI combination perform? My design is 10K and vcd dump
is as large as 50MB.




"Simon Peacock" <simon$actrix.co.nz> wrote in message
news:432e8c2a$1@news2.actrix.gen.nz...
Forget Modelsim for Xilinx as its knobbled for large designs.. they want
you
to buy the full version

Simon

"Frank" <Francis.invalid@hotmail.com> wrote in message
news:432e714e@news.starhub.net.sg...

"Frank" <Francis.invalid@hotmail.com> wrote in message
news:432e70c9$1@news.starhub.net.sg...
I had 2003 version on my PC, but it's giving me performance hassles
on yesterday's simulations. What is the latest version of Modelsim for
Xilinx? How is the performance?

I am writing a 20K+ design for opencores, what free simulator can I
use?

Thanks for your info.

Frank




BTW, I am dumb, so I need GUI to view waveforms during debugging.
 
I can't really recommend IVI. It it's definitely not easy to use or
particularly stable. I'm also not a tremendous fan of Icarus. The
last version I used 0.8.1 (I think) had a memory leak so the
performance was abysmal. It was far outpaced by the slowed down
modelsim XE.

If you can't spend money, I recommend gpl cver and gtk wave. They
aren't great, but they'll do the trick. I find cver to be slower than
modelsim by a fair bit, but it's faster once the limit is exceeded.

http://www.pragmatic-c.com/gpl-cver/
http://home.nc.rr.com/gtkwave/

I must give the warning that gtkwave loads the whole vcd into memory
and then some, so if you're opening 300MB VCD files, you really need to
have at least 1 GB of memory (maybe more). I know I have 768MB and it
thrashed until I killed it.

There is no way you are going to get a free tool with a gui like
modelsim. Heck, most commercial tools don't have guis like modelsim.
As far as I know vcs and ncverilog don't have nice guis. With those
tools you do everything on the command line.

Good luck,
Arlen
 
Thank you for the information. I will try that later.

Frank




"gallen" <arlencox@gmail.com> wrote in message
news:1127194129.436216.289820@f14g2000cwb.googlegroups.com...
I can't really recommend IVI. It it's definitely not easy to use or
particularly stable. I'm also not a tremendous fan of Icarus. The
last version I used 0.8.1 (I think) had a memory leak so the
performance was abysmal. It was far outpaced by the slowed down
modelsim XE.

If you can't spend money, I recommend gpl cver and gtk wave. They
aren't great, but they'll do the trick. I find cver to be slower than
modelsim by a fair bit, but it's faster once the limit is exceeded.

http://www.pragmatic-c.com/gpl-cver/
http://home.nc.rr.com/gtkwave/

I must give the warning that gtkwave loads the whole vcd into memory
and then some, so if you're opening 300MB VCD files, you really need to
have at least 1 GB of memory (maybe more). I know I have 768MB and it
thrashed until I killed it.

There is no way you are going to get a free tool with a gui like
modelsim. Heck, most commercial tools don't have guis like modelsim.
As far as I know vcs and ncverilog don't have nice guis. With those
tools you do everything on the command line.

Good luck,
Arlen
 
gallen wrote:.
As far as I know vcs and ncverilog don't have nice guis. With those
tools you do everything on the command line.
NC-Verilog has a GUI. You can invoke it with the +gui option to
ncverilog, or the -gui option to ncsim.
 
As far as I know vcs and ncverilog don't have nice guis. With those
tools you do everything on the command line.

NC-Verilog has a GUI. You can invoke it with the +gui option to
ncverilog, or the -gui option to ncsim.
I've also launched ncsim in post-process mode (ncsim +ppe ...)
But I must say, it's hard for me to remember all the necessary
command-line specifiers to select the right 'cds.lib', 'hdl.var', etc.
(I'm really dumb when it comes to design library management!)
 
I know that NC has a gui. I have used it. I just don't think it is a
nice gui. It's certainly not a complete or as powerful (or stable) as
modelsim's.

-Arlen
 
For the sake of completion VCS does have GUI, new one is called DVE and
is quite powerful. I wouldn't comment (yet) on its stability, it has
lot of good features (that you usually find/expect in such GUI).
Specifically I like their assertion debug stuff.

Last time I played with Simvision (NC's GUI), it looked very stable and
very nice to use. I particularly liked their TCL interface - very
powerful indeed.

HTH
Ajeetha
www.noveldv.com
 
I strongly recommend Veritak:

www.sugawara-systems.com

it works for me for 100K+ designs and the GUI is friendly and very
stable.

Veritak is $50 and right now it is 1/2 the speed of ModelSim PE (their
entry-level paid version). You can try Veritak for free for two weeks
and after that you need to buy the license.
 
You are right, you have to pay all of $50 for a very good GUI:

Veritak 1.75a

www.sugawara-systems.com

RAUL
 
If you use verilog than you may try icarus. For PC you can get it with
cygwin or windows version. For windows - http://armoid.com/icarus/
Use GTK waves to see VCD waves.
Since you mentioned that your VCD is quite large, than you may consider
to dump only part of the design and only on some of the simulation
time.


examples
//will dump all signal for all the time
initial begin
$dumpfile("1.dump");
$dumpvars(0, tb);
end

//Only three levels
initial begin
$dumpfile("1.dump");
$dumpvars(3, tb);
end

initial begin
#1000
$dumpfile("1.dump");
$dumpvars(0, tb);
end





Frank wrote:
Thank you for the information. I will try that later.

Frank




"gallen" <arlencox@gmail.com> wrote in message
news:1127194129.436216.289820@f14g2000cwb.googlegroups.com...
I can't really recommend IVI. It it's definitely not easy to use or
particularly stable. I'm also not a tremendous fan of Icarus. The
last version I used 0.8.1 (I think) had a memory leak so the
performance was abysmal. It was far outpaced by the slowed down
modelsim XE.

If you can't spend money, I recommend gpl cver and gtk wave. They
aren't great, but they'll do the trick. I find cver to be slower than
modelsim by a fair bit, but it's faster once the limit is exceeded.

http://www.pragmatic-c.com/gpl-cver/
http://home.nc.rr.com/gtkwave/

I must give the warning that gtkwave loads the whole vcd into memory
and then some, so if you're opening 300MB VCD files, you really need to
have at least 1 GB of memory (maybe more). I know I have 768MB and it
thrashed until I killed it.

There is no way you are going to get a free tool with a gui like
modelsim. Heck, most commercial tools don't have guis like modelsim.
As far as I know vcs and ncverilog don't have nice guis. With those
tools you do everything on the command line.

Good luck,
Arlen
 
|I must give the warning that gtkwave loads the whole vcd into memory
|and then some, so if you're opening 300MB VCD files, you really need
|to have at least 1 GB of memory (maybe more). I know I have 768MB
|and it thrashed until I killed it.

FYI, with gtkwave you can use the converter tools in order to keep from
loading the whole VCD file into memory. vcd2lxt, vcd2lxt2, or vcd2vzt
should do the trick. Large files will load on small machines then as
they're only brought in as needed.

-t
 

Welcome to EDABoard.com

Sponsor

Back
Top