A
alb
Guest
Hi everyone,
my Modelsim version is:
<code>
I've seen in this post somebody else had a similar issue:
https://groups.google.com/forum/#!msg/comp.lang.vhdl/S9sRjLJbdqA/XUXdgnwY-c8J
but I do not have a to_unsigned function in my code and the constant
*is* locally static because is defined in the same architecture,
therefore I do not see the issue.
I will test with vhdl-2008 and report. But any clarification would be
appreciated.
Al
--
A: Because it fouls the order in which people normally read text.
Q: Why is top-posting such a bad thing?
A: Top-posting.
Q: What is the most annoying thing on usenet and in e-mail?
my Modelsim version is:
and I get the following warning when compiling my code:pol@pcalb:firmware$ vsim -version
Model Technology ModelSim ACTEL vsim 10.1b Simulator 2012.04 Apr 27 2012
A reduced snippet of my code is here:** Warning: foo.vhd(123): Choice in CASE statement alternative must be locally static.
<code>
constant COMMAND_GET_EVENT : std_logic_vector(4 downto 0) := '0' & x"4";
state_machine : process(clk, rst)
begin
if (rst = '1') then
LVDS_STATE <= IDLE;
-- more stuff here ...
elsif rising_edge(clk) then
case LVDS_STATE is
when IDLE =
-- some code here
when DECODE_COMMAND =
case LVDS_DATA_IN_COMMAND(4 downto 0) is
when COMMAND_GET_EVENT => -- WARNING referring here!
-- some code here
/code
I've seen in this post somebody else had a similar issue:
https://groups.google.com/forum/#!msg/comp.lang.vhdl/S9sRjLJbdqA/XUXdgnwY-c8J
but I do not have a to_unsigned function in my code and the constant
*is* locally static because is defined in the same architecture,
therefore I do not see the issue.
I will test with vhdl-2008 and report. But any clarification would be
appreciated.
Al
--
A: Because it fouls the order in which people normally read text.
Q: Why is top-posting such a bad thing?
A: Top-posting.
Q: What is the most annoying thing on usenet and in e-mail?