D
dev ranjan das
Guest
I am a new to VHDL coding.I have instantiated two components having a
bidirectional bus each.Now I am having a problem mapping these bus.I
use Max Plus II and I am targettimg on Altera Flex 10k50E..can anybody
guide me how to map bidirectional busses..
suppose component1
dat1: inout std_logic_vector(31 downto 0);
component2
dat2:inout std_logic_vector(31 downto 0);
dat1 and dat2 are to be connected or mapped..
U1:component1 port map( dat1=>x1)
U2:component2 port map( dat2=>x1)
this mapping doesnt work and error message says "x1 has multiple
sources"
please guide..
dev
bidirectional bus each.Now I am having a problem mapping these bus.I
use Max Plus II and I am targettimg on Altera Flex 10k50E..can anybody
guide me how to map bidirectional busses..
suppose component1
dat1: inout std_logic_vector(31 downto 0);
component2
dat2:inout std_logic_vector(31 downto 0);
dat1 and dat2 are to be connected or mapped..
U1:component1 port map( dat1=>x1)
U2:component2 port map( dat2=>x1)
this mapping doesnt work and error message says "x1 has multiple
sources"
please guide..
dev