N
nobody
Guest
First, thank you for taking the time to consider the questions I have not answered.
I am working on a 32 bit serial to 32 bit parallel port which reads from an ADC. Currently looking to find a better solution, and I searched for predefined vhdl module with little success. I stumbled upon Macros, SR16CE, which utilize primitives but they seem to be schematic oriented and not available inside the ISE 8.2i, windows xp os.
Question: Do common VHDL constructs exist in some library within the Xilinx folder file structure?
Stumbling onto some help files within Xilinx website,http://www.csit-sun.pub.ro/courses/Masterat/Xilinx%20Synthesis%20Technology/toolbox.xilinx.com/docsan/xilinx4/data/docs/xst/hdlcode8.html, I found what I think I was looking for, however I need some help interpreting the VHDL statement that does everything, [line 13]:
8-bit Shift-Left Register with Positive-Edge Clock, Serial In, and Parallel Out
Note For this example XST will infer SRL16.
1.library ieee;
2.use ieee.std_logic_1164.all;
3.entity shift is
4. port(C, SI : in std_logic;
5. PO : out std_logic_vector(7 downto 0));
6.end shift;
7.architecture archi of shift is
8. signal tmp: std_logic_vector(7 downto 0);
9. begin
10. process (C)
11. begin
12. if (C'event and C='1') then
13. tmp <= tmp(6 downto 0)& SI;
14. end if;
15. end process;
16. PO <= tmp;
17.end archi;
Question:How does line 13 seem to do so much?
I am working on a 32 bit serial to 32 bit parallel port which reads from an ADC. Currently looking to find a better solution, and I searched for predefined vhdl module with little success. I stumbled upon Macros, SR16CE, which utilize primitives but they seem to be schematic oriented and not available inside the ISE 8.2i, windows xp os.
Question: Do common VHDL constructs exist in some library within the Xilinx folder file structure?
Stumbling onto some help files within Xilinx website,http://www.csit-sun.pub.ro/courses/Masterat/Xilinx%20Synthesis%20Technology/toolbox.xilinx.com/docsan/xilinx4/data/docs/xst/hdlcode8.html, I found what I think I was looking for, however I need some help interpreting the VHDL statement that does everything, [line 13]:
8-bit Shift-Left Register with Positive-Edge Clock, Serial In, and Parallel Out
Note For this example XST will infer SRL16.
1.library ieee;
2.use ieee.std_logic_1164.all;
3.entity shift is
4. port(C, SI : in std_logic;
5. PO : out std_logic_vector(7 downto 0));
6.end shift;
7.architecture archi of shift is
8. signal tmp: std_logic_vector(7 downto 0);
9. begin
10. process (C)
11. begin
12. if (C'event and C='1') then
13. tmp <= tmp(6 downto 0)& SI;
14. end if;
15. end process;
16. PO <= tmp;
17.end archi;
Question:How does line 13 seem to do so much?