T
Tianlun
Guest
Hi,
I use inout signal in my VHDL code
Why can not I get the correct input signal?
The input signal are already there.I can see them through logic analyser.
entity readregister is
Port ( fd : inout std_logic_vector(7 downto 0);
rst:in std_logic);
end readregister;
architecture Behavioral of readregister is
signal fd_s:std_logic_vector(7 downto 0);
begin
process(clk,rst)
begin
if rst='0' then
fd<="ZZZZZZZZ";
fd_s<="ZZZZZZZZ";
elsif clk'event and clk='1' then
if...
fd_s<=fd;
if fd_s(2)='1' then
....
elsif fd_s(5)='1' then
...
else
...
end if;
end if;
end if;
end process;
end archtiture;
Thanks for any valuable advice
Tianlun
I use inout signal in my VHDL code
Why can not I get the correct input signal?
The input signal are already there.I can see them through logic analyser.
entity readregister is
Port ( fd : inout std_logic_vector(7 downto 0);
rst:in std_logic);
end readregister;
architecture Behavioral of readregister is
signal fd_s:std_logic_vector(7 downto 0);
begin
process(clk,rst)
begin
if rst='0' then
fd<="ZZZZZZZZ";
fd_s<="ZZZZZZZZ";
elsif clk'event and clk='1' then
if...
fd_s<=fd;
if fd_s(2)='1' then
....
elsif fd_s(5)='1' then
...
else
...
end if;
end if;
end if;
end process;
end archtiture;
Thanks for any valuable advice
Tianlun