D
Davy
Guest
Hi all,
I have two problem of SystemVerilog Assertion. About property
implication and sequence.
1. Is
//--------
property rule (a,b,c);
@(posedge clk) a |-> b ##1 c;
endproperty
//--------
equal to
//--------
sequence rule;
@(posedge clk) (a&&b) ##1 c;
endsequence
//--------
2. I was told implication can be same or next cycle. Is same cycle
equal to "|->"? And is next cycle equal to "|=>"?
Thanks a lot!
Best regards,
Davy
I have two problem of SystemVerilog Assertion. About property
implication and sequence.
1. Is
//--------
property rule (a,b,c);
@(posedge clk) a |-> b ##1 c;
endproperty
//--------
equal to
//--------
sequence rule;
@(posedge clk) (a&&b) ##1 c;
endsequence
//--------
2. I was told implication can be same or next cycle. Is same cycle
equal to "|->"? And is next cycle equal to "|=>"?
Thanks a lot!
Best regards,
Davy