B
balajigec
Guest
dear all,
i want to store image from PC to BRAM of an FPGA.i have image 192x96 size.
1) which type of interfacing should i use to transfer image into BRAM fro
PC
2) how to write a program for it? do you have any material on this pleas
tell me.
and i wrote a program for it.it is synthesizer. but how to sending my pixe
values i am not getting. see below my code.
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity BRAM is
port (CLK : in std_logic;
WE : in std_logic;
EN : in std_logic;
ADDR : in std_logic_vector(14 downto 0);
DI : in std_logic_vector(7 downto 0);
DO : out std_logic_vector(7 downto 0));
end BRAM;
architecture syn of BRAM is
type ram_type is array (18431 downto 0) of std_logic_vector (7 downt
0);
signal RAM: ram_type;
begin
process (CLK)
begin
if CLK'event and CLK = '1' then
if EN = '1' then
if WE = '1' then
RAM(conv_integer(ADDR)) <= DI;
end if;
DO <= RAM(conv_integer(ADDR)) ;
end if;
end if;
end process;
end syn;
please help me on this..
best regards,
balu
---------------------------------------
Posted through http://www.FPGARelated.com
i want to store image from PC to BRAM of an FPGA.i have image 192x96 size.
1) which type of interfacing should i use to transfer image into BRAM fro
PC
2) how to write a program for it? do you have any material on this pleas
tell me.
and i wrote a program for it.it is synthesizer. but how to sending my pixe
values i am not getting. see below my code.
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity BRAM is
port (CLK : in std_logic;
WE : in std_logic;
EN : in std_logic;
ADDR : in std_logic_vector(14 downto 0);
DI : in std_logic_vector(7 downto 0);
DO : out std_logic_vector(7 downto 0));
end BRAM;
architecture syn of BRAM is
type ram_type is array (18431 downto 0) of std_logic_vector (7 downt
0);
signal RAM: ram_type;
begin
process (CLK)
begin
if CLK'event and CLK = '1' then
if EN = '1' then
if WE = '1' then
RAM(conv_integer(ADDR)) <= DI;
end if;
DO <= RAM(conv_integer(ADDR)) ;
end if;
end if;
end process;
end syn;
please help me on this..
best regards,
balu
---------------------------------------
Posted through http://www.FPGARelated.com