G
Guenter Dannoritzer
Guest
Hi,
I try to use a 2-dimensional array in a module and get an error message
when compiling with Icarus Verilog.
Can anybody give me a hint what I am doing wrong?
This is the code:
module test;
integer matrix[0:4][0:1];
initial begin
$display("hello");
end
endmodule
I stripped the module down to this and changing the array to a
1-dimensional works just fine.
I am using Icarus 0.8.1 and compile with the following command:
iverilog -o test.vvp test.v
Thanks for the help
Guenter
I try to use a 2-dimensional array in a module and get an error message
when compiling with Icarus Verilog.
Can anybody give me a hint what I am doing wrong?
This is the code:
module test;
integer matrix[0:4][0:1];
initial begin
$display("hello");
end
endmodule
I stripped the module down to this and changing the array to a
1-dimensional works just fine.
I am using Icarus 0.8.1 and compile with the following command:
iverilog -o test.vvp test.v
Thanks for the help
Guenter