N
Nikolaos Kefalas
Guest
I have created a vhdl package mylib and i want to compile it into a
library with synopsys design compiler, to able to use them as a library
in my vhdl designs.
For example in another design:
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library my_lib;
use my_lib.my_lib.all;
I am newby with design compiler and i got confused reading the manuals .
Many thanks
nkef
library with synopsys design compiler, to able to use them as a library
in my vhdl designs.
For example in another design:
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library my_lib;
use my_lib.my_lib.all;
I am newby with design compiler and i got confused reading the manuals .
Many thanks
nkef