A
ALuPin
Guest
Hi everybody,
I have a question concerning the following:
If I want to add a binary value to a vector WITHOUT using
the ieee.std_logic_unsigned.all library
I get the error message : "No feasible entries for infix op: "+"
How can I do this addition only with the ARITH and 1164 library?
Thank you for your help.
Kind regards
Andre V.
----------------------------------------------------------------
use ieee.std_logic_arith.all;
use ieee.std_logic_1164.all;
signal t_Parallel_data_in : std_logic_vector(7 downto 0);
begin
process
begin
...
if condition='1' then
t_Parallel_data_ in <= t_Parallel_data_in + "00001010";
end if;
end process;
-----------------------------------------------------------------
I have a question concerning the following:
If I want to add a binary value to a vector WITHOUT using
the ieee.std_logic_unsigned.all library
I get the error message : "No feasible entries for infix op: "+"
How can I do this addition only with the ARITH and 1164 library?
Thank you for your help.
Kind regards
Andre V.
----------------------------------------------------------------
use ieee.std_logic_arith.all;
use ieee.std_logic_1164.all;
signal t_Parallel_data_in : std_logic_vector(7 downto 0);
begin
process
begin
...
if condition='1' then
t_Parallel_data_ in <= t_Parallel_data_in + "00001010";
end if;
end process;
-----------------------------------------------------------------