J
JohnSmith
Guest
What does the '_' char in the hexadecimal constant? Is it simply
ignored?
process
variable man_id : std_logic_vector(31 downto 0);
begin
man_id := X"1F_22_00_00";
end process;
Thanks
ignored?
process
variable man_id : std_logic_vector(31 downto 0);
begin
man_id := X"1F_22_00_00";
end process;
Thanks