M
mike-t
Guest
Can anyone help me figure out how to connect these three ports.
I have a port - dataio : inout std_logic_vector (15 downto 0);
I would like to connect the following ports to it:
sdatao : out std_logic_vector (7 downto 0);
sdatai : in std_logic_vector (7 downto 0);
any help would be appreciated.
I have a port - dataio : inout std_logic_vector (15 downto 0);
I would like to connect the following ports to it:
sdatao : out std_logic_vector (7 downto 0);
sdatai : in std_logic_vector (7 downto 0);
any help would be appreciated.