T
Tricky
Guest
Why not combine it all into 1 process?
process(clk, reset)
if reset = '1' then
-- do some reset
elsif rising_edge(clk) then
--do something
elsif falling_edge(clk) then
--do something else
end if;
end process;
But that may be unsynthesizable.
How about doubling the clock speed through a PLL/DCM and just using
the doubled clock to do things in the right order?
process(clk, reset)
if reset = '1' then
-- do some reset
elsif rising_edge(clk) then
--do something
elsif falling_edge(clk) then
--do something else
end if;
end process;
But that may be unsynthesizable.
How about doubling the clock speed through a PLL/DCM and just using
the doubled clock to do things in the right order?