S
smu
Guest
Hello,
I have a question about the possibility to read some informations in a text
file.
For example, I have a entity 'scaler'. Can I do something like
architecture Behavioral of scaler0 is
component scaler
generic ( ???)
port ( clr : in std_logic; clk : in std_logic; d : in
std_logic_vector(15 downto 0);
q : out std_logic_vector(15 downto 0));
end component;
begin
scaler0_c : scaler
generic map ( ??? => 'filename of file containing initialisation
data')
port map (......
......
end Behavioral;
Thank you in advance
smu
I have a question about the possibility to read some informations in a text
file.
For example, I have a entity 'scaler'. Can I do something like
architecture Behavioral of scaler0 is
component scaler
generic ( ???)
port ( clr : in std_logic; clk : in std_logic; d : in
std_logic_vector(15 downto 0);
q : out std_logic_vector(15 downto 0));
end component;
begin
scaler0_c : scaler
generic map ( ??? => 'filename of file containing initialisation
data')
port map (......
......
end Behavioral;
Thank you in advance
smu