M
MM
Guest
Hi,
I have the following type and I want to be able to have different RdCount
and WrCount widths for different FIFOs without declaring a new type every
time. How can I do it in VHDL?
type FIFO_FLAGS_TYPE is record
Full : std_logic;
Empty : std_logic;
AlmostFull : std_logic;
AlmostEmpty : std_logic;
Underflow : std_logic;
Overflow : std_logic;
RdCount : std_logic_vector(8 downto 0);
WrCount : std_logic_vector(8 downto 0);
end record FIFO_FLAGS_TYPE;
Thanks,
/Mikhail
I have the following type and I want to be able to have different RdCount
and WrCount widths for different FIFOs without declaring a new type every
time. How can I do it in VHDL?
type FIFO_FLAGS_TYPE is record
Full : std_logic;
Empty : std_logic;
AlmostFull : std_logic;
AlmostEmpty : std_logic;
Underflow : std_logic;
Overflow : std_logic;
RdCount : std_logic_vector(8 downto 0);
WrCount : std_logic_vector(8 downto 0);
end record FIFO_FLAGS_TYPE;
Thanks,
/Mikhail