L
leaf
Guest
i have 7 controlled clocks as inout to my design.
(c0, c1, c2, c3, c4, c5, c6)
entity my_design is
port(
data_i : in std_logic;
c0, c1, c2, c3, c4, c5, c6 : in std_logic; -- clocks
format : in std_logic_vector(2 downto 0);
data_o : out std_logic
);
data_i is the reference data
c0, c1, c2, c3, c4, c5, c6 are the controlled clocks
format is the format selector
either:
NRZ - No Return to Zero
RTZ - Return to Zero
RTO - Return to One
SBC - Surrounded by complement
DCLKPOS - Double clock positive
DCLKNEG - Double clock negative
data_o is the formatted output data based on the controlled clocks and
the format and data
ex.
when data_i is 0 and c1 arrives, and when format = RTZ (return to
zero) data_o <= '1'
but when c2 arrives data_o <= '0' (or will return to zero)
based on that, how do i actually ouput to data_o the formatted data,
--yl
(c0, c1, c2, c3, c4, c5, c6)
entity my_design is
port(
data_i : in std_logic;
c0, c1, c2, c3, c4, c5, c6 : in std_logic; -- clocks
format : in std_logic_vector(2 downto 0);
data_o : out std_logic
);
data_i is the reference data
c0, c1, c2, c3, c4, c5, c6 are the controlled clocks
format is the format selector
either:
NRZ - No Return to Zero
RTZ - Return to Zero
RTO - Return to One
SBC - Surrounded by complement
DCLKPOS - Double clock positive
DCLKNEG - Double clock negative
data_o is the formatted output data based on the controlled clocks and
the format and data
ex.
when data_i is 0 and c1 arrives, and when format = RTZ (return to
zero) data_o <= '1'
but when c2 arrives data_o <= '0' (or will return to zero)
based on that, how do i actually ouput to data_o the formatted data,
--yl