S
sunwij
Guest
Following is a portion of the code which calculates a rate of rain
fall at a remote location and executes a specfic task if the rate
exceeds a given threshold. Synopsis throws following error: "
STANDARD.STD" package not found
Am I missing any specific pkg. Tried http://www.eda.org/fphdl/
read the whole page. None found. As a work around I am using a
function to do division which uses lot of hardware.
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.math_real.all;
entity real_division is port ( rain_fall : in real;
time_elapsed: in real;
rain_rate: out real}
end real_division;
architecture myreal_division of real_division is
Signal a1,b1 :real;
begin
a1<= rain_fall;
b1<= time_elapsed;
process (a1,b1)
begin
rain_rate <=a1/b1;
end process;
end real_division;
fall at a remote location and executes a specfic task if the rate
exceeds a given threshold. Synopsis throws following error: "
STANDARD.STD" package not found
Am I missing any specific pkg. Tried http://www.eda.org/fphdl/
read the whole page. None found. As a work around I am using a
function to do division which uses lot of hardware.
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.math_real.all;
entity real_division is port ( rain_fall : in real;
time_elapsed: in real;
rain_rate: out real}
end real_division;
architecture myreal_division of real_division is
Signal a1,b1 :real;
begin
a1<= rain_fall;
b1<= time_elapsed;
process (a1,b1)
begin
rain_rate <=a1/b1;
end process;
end real_division;