Error-[POISC] Property operator in sequence context

  • Thread starter joydeep.bh@gmail.com
  • Start date
J

joydeep.bh@gmail.com

Guest
I am getting the error mentioned above for the following code:

genvar i;
generate

for (i=0; i< 65; i = i+1)
begin : for_all_pid_0_64
property x_on_latency;
(
@(posedge clk) (~reset && cfg_free_list_en )
throughout
(
( (qenable && (qdlystart_cnt == 0) &&
~trau_trtu_outq_hdr_stop) |->

##[1:70] (outq_mmgr_rdvld && (outq_mmgr_pid == i))
))
);
endproperty : x_on_latency
x_on_lat_q : assert property(x_on_latency);
end : for_all_pid_0_64

endgenerate


Any help?
 
On Thu, 20 Aug 2009 11:01:13 -0700 (PDT), "joydeep.bh@gmail.com"
<joydeep.bh@gmail.com> wrote:

I am getting the error mentioned above for the following code:

genvar i;
generate

for (i=0; i< 65; i = i+1)
begin : for_all_pid_0_64
property x_on_latency;
(
@(posedge clk) (~reset && cfg_free_list_en )
throughout
(
( (qenable && (qdlystart_cnt == 0) &&
~trau_trtu_outq_hdr_stop) |-

##[1:70] (outq_mmgr_rdvld && (outq_mmgr_pid == i))
))
);
endproperty : x_on_latency
x_on_lat_q : assert property(x_on_latency);
end : for_all_pid_0_64

endgenerate

The error message says it all; you used the |-> property
implication operator in an expression that is the
right-hand side of your "throughout" operator.
The RHS of "throughout" must be a sequence, and therefore
cannot contain any property operators.

I think there is probably a design error in your property.
First off, whenever I see "~reset throughout..." it usually
should be replaced with "disable iff (reset)". But
I'm not entirely sure what "cfg_free_list_en" is doing;
what should happen if that signal goes false part-way
through your property?

Tell us more!
--
Jonathan Bromley, Consultant

DOULOS - Developing Design Know-how
VHDL * Verilog * SystemC * e * Perl * Tcl/Tk * Project Services

Doulos Ltd., 22 Market Place, Ringwood, BH24 1AW, UK
jonathan.bromley@MYCOMPANY.com
http://www.MYCOMPANY.com

The contents of this message may contain personal views which
are not the views of Doulos Ltd., unless specifically stated.
 

Welcome to EDABoard.com

Sponsor

Back
Top