D
Dan NITA
Guest
Hi all,
I'm using ispLEVER from Lattice.
When I try to synthesize a project which include a component with generics,
I get these warnings:
"WARNING - edif2ngd: Unsupported property width found"
How to avoid pollution with these warnings?
Thanks,
Dan.
component LoadableCounter is
generic (
width : integer
);
port (
CarryIn : in std_logic;
Load : in std_logic;
Clock : in std_logic;
Dir : in std_logic;
ClockEn : in std_logic;
DataIn : in std_logic_vector(width downto 0);
CarryOut : out std_logic;
DataOut : out std_logic_vector(width downto 0)
);
end component;
"Starting: 'C:\ispTOOLS5_0\ispfpga\bin\nt\edif2ngd.exe -l ep5g00 -d LFEC6E
"InputQuadrature.edi" "InputQuadrature.ngo"'
edif2ngd: version ispLever_v50_Production_Build (40)
Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
Copyright (c) 1995 AT&T Corp. All rights reserved.
Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved.
Copyright (c) 2001 Agere Systems All rights reserved.
Copyright (c) 2002-2005 Lattice Semiconductor Corporation, All rights
reserved.
WARNING - edif2ngd: Unsupported property width found - ignoring...
On or above line 209 in file InputQuadrature.edi
WARNING - edif2ngd: Unsupported property width found - ignoring...
On or above line 307 in file InputQuadrature.edi
WARNING - edif2ngd: Unsupported property width found - ignoring...
On or above line 421 in file InputQuadrature.edi
WARNING - edif2ngd: Unsupported property width found - ignoring...
On or above line 424 in file InputQuadrature.edi
Writing the design to InputQuadrature.ngo...
Done: completed successfully."
I'm using ispLEVER from Lattice.
When I try to synthesize a project which include a component with generics,
I get these warnings:
"WARNING - edif2ngd: Unsupported property width found"
How to avoid pollution with these warnings?
Thanks,
Dan.
component LoadableCounter is
generic (
width : integer
);
port (
CarryIn : in std_logic;
Load : in std_logic;
Clock : in std_logic;
Dir : in std_logic;
ClockEn : in std_logic;
DataIn : in std_logic_vector(width downto 0);
CarryOut : out std_logic;
DataOut : out std_logic_vector(width downto 0)
);
end component;
"Starting: 'C:\ispTOOLS5_0\ispfpga\bin\nt\edif2ngd.exe -l ep5g00 -d LFEC6E
"InputQuadrature.edi" "InputQuadrature.ngo"'
edif2ngd: version ispLever_v50_Production_Build (40)
Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
Copyright (c) 1995 AT&T Corp. All rights reserved.
Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved.
Copyright (c) 2001 Agere Systems All rights reserved.
Copyright (c) 2002-2005 Lattice Semiconductor Corporation, All rights
reserved.
WARNING - edif2ngd: Unsupported property width found - ignoring...
On or above line 209 in file InputQuadrature.edi
WARNING - edif2ngd: Unsupported property width found - ignoring...
On or above line 307 in file InputQuadrature.edi
WARNING - edif2ngd: Unsupported property width found - ignoring...
On or above line 421 in file InputQuadrature.edi
WARNING - edif2ngd: Unsupported property width found - ignoring...
On or above line 424 in file InputQuadrature.edi
Writing the design to InputQuadrature.ngo...
Done: completed successfully."