P
Peter Sommerfeld
Guest
Are there any Symphony EDA experts out there?
I have following code:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
library altera_mf;
use altera_mf.altera_mf_components.all;
entity my_fifo ..
architecture ..
begin
a_fifo : dcfifo generic map ( ...
end;
-----------
I know that Symphony is finding dcfifo because it compiles fine (if I
change "dcfifo" to something bogus the compile fails). But when I try
to simulate I get the error:
Warning: CSVHE0009: dma_demand_fifo.vhd: (line 289): Could not find
entity/arch named 'dcfifo'. Ignoring component instantiation
Any ideas? I manually created the altera_mf lib by compiling the
altera_mf lib files under my Quartus II 3.0 install.
-- Pete
I have following code:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
library altera_mf;
use altera_mf.altera_mf_components.all;
entity my_fifo ..
architecture ..
begin
a_fifo : dcfifo generic map ( ...
end;
-----------
I know that Symphony is finding dcfifo because it compiles fine (if I
change "dcfifo" to something bogus the compile fails). But when I try
to simulate I get the error:
Warning: CSVHE0009: dma_demand_fifo.vhd: (line 289): Could not find
entity/arch named 'dcfifo'. Ignoring component instantiation
Any ideas? I manually created the altera_mf lib by compiling the
altera_mf lib files under my Quartus II 3.0 install.
-- Pete