J
JohnSmith
Guest
The following code schedules transaction for 200 ns. It will be
removed by the simulator? (it seems). When there are some transactions
which time stamp is greater than the newly scheduled transaction, all
will be removed?
----------------------
library ieee;
use ieee.std_logic_1164.all;
entity test is
end test;
architecture arch of test is
signal a: std_logic := '0';
signal b: std_logic;
begin
a <= not a after 70 ns;
process(a)
variable w: integer := 1;
begin
if w = 1 then
b <= '1' after 200 ns;
w := 2;
else
b <= '0' after 100 ns;
end if;
end process;
end arch;
-------------------
Thanks
removed by the simulator? (it seems). When there are some transactions
which time stamp is greater than the newly scheduled transaction, all
will be removed?
----------------------
library ieee;
use ieee.std_logic_1164.all;
entity test is
end test;
architecture arch of test is
signal a: std_logic := '0';
signal b: std_logic;
begin
a <= not a after 70 ns;
process(a)
variable w: integer := 1;
begin
if w = 1 then
b <= '1' after 200 ns;
w := 2;
else
b <= '0' after 100 ns;
end if;
end process;
end arch;
-------------------
Thanks