P
Pacbell User
Guest
`default_nettype none
module temp;
task my_task;
input integer a; // <-- Modelsim flags error!
begin
$display("a = %0d", a );
end
endtask
initial begin
my_task ( 32 );
#1 $finish(1);
end
endmodule
^^^
When I attempt to compile this code under Modelsim XE-III 6.2c,
it gives me the following error message on my tasks's input declaration:
nettype of a must be explicitly declared. (Default_nettype is none.)
Is this a tool bug, or can I not use input/output integer on task/function
declarations when I use `default_nettype none?
module temp;
task my_task;
input integer a; // <-- Modelsim flags error!
begin
$display("a = %0d", a );
end
endtask
initial begin
my_task ( 32 );
#1 $finish(1);
end
endmodule
^^^
When I attempt to compile this code under Modelsim XE-III 6.2c,
it gives me the following error message on my tasks's input declaration:
nettype of a must be explicitly declared. (Default_nettype is none.)
Is this a tool bug, or can I not use input/output integer on task/function
declarations when I use `default_nettype none?