H
Hugo
Guest
Hello!
I hope some emacs guru can give me a tip on this
My organization requires that the following indentation is used in this
particular section of the code:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
Can I customize vhdl-mode to beautify like that to me?
The problem is that those 4 lines all return the same value in
"Syntactic Info" ( = statement), so I have no clue if what I want is
possible.
Any ideas?
Thanks,
Hugo
I hope some emacs guru can give me a tip on this
My organization requires that the following indentation is used in this
particular section of the code:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
Can I customize vhdl-mode to beautify like that to me?
The problem is that those 4 lines all return the same value in
"Syntactic Info" ( = statement), so I have no clue if what I want is
possible.
Any ideas?
Thanks,
Hugo