V
Vazquez
Guest
Hi,
I have the following problem:
library ieee;
use ieee.std_logic_1164.all;
entity test is
port(ep_to_send : in std_logic_vector(3 downto 0);
addr_to_send : in std_logic_vector(6 downto 0);
data_valid_to_send : in std_logic;
direction_to_send : in std_logic
);
end test;
architecture rtl of test is
signal test_vector: std_logic_vector(9 downto 0);
begin
test_vector <= (addr_to_send(4 downto 0) & ep_to_send &
data_valid_to_send);
end rtl;
Is this legal in VHDL? Will the new vector be composed correctly?
Thanks
Andres Vazquez
I have the following problem:
library ieee;
use ieee.std_logic_1164.all;
entity test is
port(ep_to_send : in std_logic_vector(3 downto 0);
addr_to_send : in std_logic_vector(6 downto 0);
data_valid_to_send : in std_logic;
direction_to_send : in std_logic
);
end test;
architecture rtl of test is
signal test_vector: std_logic_vector(9 downto 0);
begin
test_vector <= (addr_to_send(4 downto 0) & ep_to_send &
data_valid_to_send);
end rtl;
Is this legal in VHDL? Will the new vector be composed correctly?
Thanks
Andres Vazquez