F
foaud167
Guest
hi
the following line of code is giving me an error and i can't understand
why.
The line in question is
outp <= conv_std_logic_vector((conv_signed(inp) sll 1), 8);
and the error is
IN mode Formal SIZE of conv_std_logic_vector with no default value must
be associated with an actual value.
thanx
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity shifter is
generic (n: integer := 4);
port (inp: in std_logic_vector(2*n-1 downto 0);
outp: out std_logic_vector(2*n-1 downto 0));
end shifter;
architecture Behavioral of shifter is
begin
outp <= conv_std_logic_vector((conv_signed(inp) sll 1), 8); --ERROR
end Behavioral;
the following line of code is giving me an error and i can't understand
why.
The line in question is
outp <= conv_std_logic_vector((conv_signed(inp) sll 1), 8);
and the error is
IN mode Formal SIZE of conv_std_logic_vector with no default value must
be associated with an actual value.
thanx
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity shifter is
generic (n: integer := 4);
port (inp: in std_logic_vector(2*n-1 downto 0);
outp: out std_logic_vector(2*n-1 downto 0));
end shifter;
architecture Behavioral of shifter is
begin
outp <= conv_std_logic_vector((conv_signed(inp) sll 1), 8); --ERROR
end Behavioral;