G
Giox
Guest
Hello everybody, I have the followin code:
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_arith.all
......SNIP.....
signal high_registered : std_logic_vector(1 downto 0);
signal high_current : std_logic_vector(1 downto 0);
......SNIP.....
busy_condition <= '1' WHEN CONV_INTEGER(high_registered) >
CONV_INTEGER(high_current)
ELSE '0';
......SNIP.....
During simulation:
high_registered "10";
high_current "00";
The resulting busy_condition is Z.... what's wrong?
Any help will be appreciated
Gio
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_arith.all
......SNIP.....
signal high_registered : std_logic_vector(1 downto 0);
signal high_current : std_logic_vector(1 downto 0);
......SNIP.....
busy_condition <= '1' WHEN CONV_INTEGER(high_registered) >
CONV_INTEGER(high_current)
ELSE '0';
......SNIP.....
During simulation:
high_registered "10";
high_current "00";
The resulting busy_condition is Z.... what's wrong?
Any help will be appreciated
Gio