R
Roel
Guest
Hi
A bi-directional bus from type std_ulogic_vector (from a model from
fmf) has to be connected to a bidirectional bus from type
std_logic_vector. I created a type-conversion block for the conversion
but the simulator/compiler keeps complaining: multiple driver.
ENTITY:
data : inout std_logic_vector(31 downto 0);
udata : inout std_ulogic_vector(31 downto 0);
ARCHITECTURE:
data <= to_stdlogicvector(udata);
udata <= to_stdulogicvector(data);
How should I implement a kind of RESOLVE function to go from one type
to another?
Thank you,
Roel
A bi-directional bus from type std_ulogic_vector (from a model from
fmf) has to be connected to a bidirectional bus from type
std_logic_vector. I created a type-conversion block for the conversion
but the simulator/compiler keeps complaining: multiple driver.
ENTITY:
data : inout std_logic_vector(31 downto 0);
udata : inout std_ulogic_vector(31 downto 0);
ARCHITECTURE:
data <= to_stdlogicvector(udata);
udata <= to_stdulogicvector(data);
How should I implement a kind of RESOLVE function to go from one type
to another?
Thank you,
Roel