K
krby_xtrm
Guest
how can i access a constant inside a package, say:
----- Package: ---------
package my_data_types is
constant m:integer :=8;
end my_dat_types;
-----------------------
.... later in the project
------Project: --------
entity sample is
port(
inp: in std_logic;
outp : out std_logic_vector(m-1 downto 0); -- << here
);
....
is m in '(m-1 downto 0)' is the m in the package..
one more thing, how about if i want to change the value of m in the
package from the project...
----- Package: ---------
package my_data_types is
constant m:integer :=8;
end my_dat_types;
-----------------------
.... later in the project
------Project: --------
entity sample is
port(
inp: in std_logic;
outp : out std_logic_vector(m-1 downto 0); -- << here
);
....
is m in '(m-1 downto 0)' is the m in the package..
one more thing, how about if i want to change the value of m in the
package from the project...