X
xipn
Guest
Hi all,
is it possible or exists any trick how to access inner signal of the
device under test or even signal concerned in any entity in DUT for
assert statement?
Or always I have to use some dedicated port?
Let me show it in the commented example:
------------------------------------------------------------
ENTITY testbench IS
END ENTITY testbench;
architecture sim of testbench is
SIGNAL a,b : STD_LOGIC;
begin
DUT : ENTITY work.main
PORT MAP (
A => a,
B => b);
process tb
-- some stimuli e.g.
a <= '1';
wait for ....
-- and now I would like to verify inner signal "temp"
-- of instance DUT
-- Exists something like following ?
-- The relation between instance DUT and
-- inner signal "temp" is expressed as DUT.temp (no record )
assert DUT.temp = '1' report "message" severity ...
end process tb;
end architecture sim;
------------------------------------------------------------
Entity main is
port (
A : in std_logic;
B : out std_logic
);
end main;
architecture syn of main is
signal temp : std_logic;
begin
-- ... an implemenation
end syn;
------------------------------------------------------------
Thanx for any opinion.
is it possible or exists any trick how to access inner signal of the
device under test or even signal concerned in any entity in DUT for
assert statement?
Or always I have to use some dedicated port?
Let me show it in the commented example:
------------------------------------------------------------
ENTITY testbench IS
END ENTITY testbench;
architecture sim of testbench is
SIGNAL a,b : STD_LOGIC;
begin
DUT : ENTITY work.main
PORT MAP (
A => a,
B => b);
process tb
-- some stimuli e.g.
a <= '1';
wait for ....
-- and now I would like to verify inner signal "temp"
-- of instance DUT
-- Exists something like following ?
-- The relation between instance DUT and
-- inner signal "temp" is expressed as DUT.temp (no record )
assert DUT.temp = '1' report "message" severity ...
end process tb;
end architecture sim;
------------------------------------------------------------
Entity main is
port (
A : in std_logic;
B : out std_logic
);
end main;
architecture syn of main is
signal temp : std_logic;
begin
-- ... an implemenation
end syn;
------------------------------------------------------------
Thanx for any opinion.