R
Robert Willy
Guest
Hi,
I don't know why it shows
# 1990ns is current time
for the lower part system Verilog code.
Could you explain it to me?
Thanks,
/////////////////
# 1990ns is current time
# ** Note: $finish : C:/Users/rj/Documents/systemVerilog_prj/default_clk.sv(16)
# Time: 1990 ns Iteration: 1 Instance: /clocking_default
...............................
module clocking_default();
logic clk = 0;
always #10 clk++;
// Specify the default clocking
default clocking test @ (posedge clk);
endclocking
initial begin
$display("%0dns is current time",$time);
// Any ## is evaluated with respect to default clock
##100;
$display("%0dns is current time",$time);
$finish;
end
endmodule
I don't know why it shows
# 1990ns is current time
for the lower part system Verilog code.
Could you explain it to me?
Thanks,
/////////////////
# 1990ns is current time
# ** Note: $finish : C:/Users/rj/Documents/systemVerilog_prj/default_clk.sv(16)
# Time: 1990 ns Iteration: 1 Instance: /clocking_default
...............................
module clocking_default();
logic clk = 0;
always #10 clk++;
// Specify the default clocking
default clocking test @ (posedge clk);
endclocking
initial begin
$display("%0dns is current time",$time);
// Any ## is evaluated with respect to default clock
##100;
$display("%0dns is current time",$time);
$finish;
end
endmodule